2023.03.14 EUV : 나노 공정의 핵심이 열리다
[현대차증권 곽민정] 반도체 EUV산업 - 나노공정의 핵심이 열리다.

EUV, 나노 공정의 핵심이 열리다 


차세대 반도체 공정의 핵심은 EUV 기반 초미세 패터닝의 현실화이며, 이를 위해 EUV 관련 소재 및 부품의 확보, 공정 수율 개선 및 후공정 비용 절감이 반드시 필요하다. 10nm 이하의 초미세 패터닝 영역은 EUV 노광 공정으로 넘어가기 시작했는데, 물리적으로는 5nm 이상의 패터닝은 EUV가 없어도 가능하다. 하지만 기존 DUV를 이용한 다중 패터닝 공정은 5nm 이하 공정에서 이론상으로 적용하기 어렵다. DUV 다중 패터닝 공정을 5nm 공정으로 구현하려면 마스크 배치가 100번 넘게 반복되어야 한다. 이렇게 많은 마스킹이 요구되는 공정은 원가와 수율 문제에서 자유로울 수 없으며 공정상 오류가 높아질 수 밖에 없어 검사시에도 시간과 비용이 증가하게 된다. 다양한 종류의 고성능 반도체를 만들기 위해서는 더 높은 집적도와 정밀도가 필요한데 EUV를 사용하게 되면 13.7nm 광원을 사용하므로 단일 패터닝 공정 대비 물리적으로 훨씬 작은 크기를 만들어낼 수 있기 때문에, 마스크 공정도 더 줄어들고 제조비용도 감소하게 된다.


​TSMC는 ASML의 EUV 장비를 100대 넘게 보유하고 있으며 전세계 EUV 장비의 70% 이상을 확보하고 있어 파운드리 내에서 독보적인 위치를 확보하고 있다. 현재 TSMC 3nm 공정에서 양산한 웨이퍼는 약 20,000달러로, 5nm 공정을 이용한 웨이퍼 가격보다 약 4,000달러 이상 비싸다.


삼 성전자는 단순히 비용 절감뿐만 아니라, 40nm이하의 Non-EUV DRAM이 기술측면에서 매우 복잡해지고 있으며, 사이클 타임 또한 길어지고 있다는 점에서 EUV 도입의지를 표명한 바 있다. 또한 5nm 이하의 DRAM 기술에서 EUV를 채택하게 되면 bit density가 최대 3배 증가할 수 있다. 삼성전자는 14nm DRAM에서 EUV 레이어 5개를 채택하고 있으며, 자율주행차를 타깃으로한 MCU, 차량 제어용 AP, XR/AR 전용 반도체 등은 성장 잠재력이 크지만 아직 TSMC가 주목하지 않는 시장이므로, 이런 시장을 선진입해서 고객과의 관계를 구축할 경우 삼성전자의 파운드리 경쟁력도 높아질 것이다.


인텔 역시 애리조나에 2개의 EUV 팹을 200억달러 (약 25조원)을 들여 투자할 계획이며, 미국 오하이오주와 오레건주, 유럽에 추가적인 팹 건설을 발표하였다. 인텔은 2025년 이후 ASML과 공동개발한 High NA인 0.55 NA급 EUV 장비 확보에 대한 우선권이 있기 때문에 이후 충분한 물량의 EUV 장비를 확보하게 된다면 향후 TSMC와 삼성전자, 인텔의 삼파전으로 이어질 가능성이 매우 높다.



​EUV 공정상 필수적인 요소 - 펠리클, 블랭크 마스크 


EUV는 파운드리, 메모리 등 광범위한 분야에서 적용되며, 특히 EUV 투입량 증가에 따라 웨이퍼와 레티클에서 추가적인 검사 장비가 요구되고 있고, 패터닝 공정상 EUV PR, EUV 블랭크마스크와 펠리클이 핵심적인 요소이다.


Logic(Foundry)의 경우 7nm에서는 평균적으로 10개 이상의 EUV layer가 요구되며, 5nm에서는 2배 수준인 20개 이상이 필요한 것으로 전망된다. 3nm 공정의 경우 약 25개 정도의 EUV레이어가 필요한 것으로 알려져 있다. DRAM의 경우 1y, 1z 노드에서는 1-2개의 EUV 레이어가 필요하지만 1a에서는 3-5개의 EUV 레이어가 요구된다. 적용 레이어가 증가할수록 관련되어 요구되는 펠리클도 증가하게 된다.


EUV 펠리클의 현재 


EUV 노광 공정은 노광기에서 나오는 빛을 마스크에 반사시켜 웨이퍼에 쪼이고, 웨이퍼 위 PR이 빛에 반응하면서 움푹 패이거나 남아있는 과정을 거쳐 진행된다. 여기서 EUV 대량 양산을 위한 주요 이슈 중 하나가 EUV 노광 공정상 발생하는 오염이다. EUV 펠리클은 얇은 박막을 포토마스크 위에 씌워 대기중 분자와 오염물질로부터 보호하는 필름으로, 패턴 결함을 줄이기 위한 용도로 사용된다. EUV 펠리클을 통한 EUV 광의 이중 투과는 반사마스크에 의해 결정되는데, EUV 펠리클의 투과율이 90%인 경우 노출 선량은 81%로 감소하게 된다. 이것은 20% 생산성이 감소한다는 의미이고, 여기서 발생된 에너지가 펠리클 막에 가해지면서 열적-기계적 특성에 영향을 미친다.


EUV 펠리클 필수 요건 

1) 투과율이 높고 반사율은 0에 수렴

2) 오염이 최소화되고, 온도를 견뎌야

3) 열방사성이 높고,열팽창 계수가 낮아야

4) 화학적으로 잘 견뎌내야 함


초기의 p-Si 기반의 펠리클은 투과도는 충족되었지만, 펠리클 수명과 직접적으로 연관된 기계적, 열적 안정도 측면에서 HVM 수준에 도달하지 못하였다. 250W EUV 광원으로 작동하는 EUV 노광기에서 40nm p-Si 펠리클의 최대 온도는 994℃에 이른다. 이러한 고진공 환경에서는 박막구조 때문에 EUV 펠리클을 노광 중 냉각할 수 있는 방법이 열방사밖에 없다.


따라서 이러한 문제를 해결하기 위해 1) 탄소계 재료를 삽입하여 기계적 특성을 개선하고, 2) 고방사 재료로 캐핑(capping)하여 열적 특성을 높이는 것이 최근의 개발트렌드이다. 메탈 계열의 펠리클을 사용하면 기계적 강도가 높아지면서 높은 투과율을 보여서 가장 빠르게 적용될 수 있을 것으로 기대된다. 그리고 탄소나노튜브(CNT)의 경우 평균 두께는 10nm이하이며, 다공성 구조라 투과율이 높은 특징 때문에 차기 펠리클 후보군으로 진행 중이며, 600W의 95% 투과율을 가질 것으로 예상된다. 향후 CNT를 EUV 펠리클에 적용하기 위해서는 소재의 변수(single or multi, 번들 사이즈, 광학적, 기계적, 열적 특성 등)와 밀도, 형태에 따른 특성을 조정해야 한다.


일률적인 솔루션은 없으며, 1) 생산 리스크와 비용 간의 균형을 맞추거나, 2) 레이어의 특성, 펠리클 투과도, 결함 발생가능성 등의 여부를 검토하여 파티클 오염을 제어할 수 있는지에 따라 두 방법 중 하나를 선택하고 있다. 마스크 일부가 오염되어도 나머지 부분만으로도 충분히 사용할 수 있는 메모리의 경우에는 무리해서 펠리클을 사용할 필요가 없다. 오히려 펠리클을 사용함으로서 감소하는 광량 때문에 생산성이 떨어지는 문제가 있다. 그러나 회로 일부만 오작동해도 전체를 못쓰게 되는 시스템 반도체 분야에서는 펠리클이 더 필요하다. 그럼에도 불구하고 쉽게 양산공정에 적용되지 못하는 이유는 펠리클이 파괴되었을 때 이물질이 묻은 것과는 비교할 수 없을 만큼의 심각한 오염이 발생하여 마스크를 버려야 하기 때문이다.


반도체 칩의 초소형화에 따라 미세선폭도 줄어들게 되고, 공정상 발생되는 Defect를 줄이기 위해 점차적으로 펠리클 사용량은 증가하게 될 것으로 전망된다. 현재는 펠리클 성능이 모든 조건을 충족하고 있으며, 대량 양산이 가능한 수준에 다다랐다. 최근 ASML이 발표한 내용에 따르면, 펠리클의 수명 테스트는 400W 이상에서도 견딘 것으로 보고되고 있다. 향후 High NA 노광기가 출시되더라도 현재의 펠리클과도 완벽하게 호환 가능하며, 추가적으로 800W이상을 견디는 새로운 소재로 펠리클 개발이 진행 중이다.


EUV 블랭크 마스크가 필요한 이유


블랭크 마스크는 lithography 공정에 사용하는 포토마스크의 원판으로, 블랭크 마스크 위로 반도체 패턴을 그려서 빛을 반사 및 투과시키면 웨이퍼 위에 상이 맺힌다. 따라서 EUV 블랭크마스크는 특수한 기계적, 화학적, 광학적 기능을 가진 수 개의 레이어로 구성되어 있다. 마스크 기판은 LTEM(Low Thermal Expansion Material) 기판으로, 최소 변형률로 마스크의 강도를 유지해야 하기 때문에 낮은 CTE뿐만 아니라, 무결점의 평탄도를 요구하며, 고반사율 및 전사 정밀도를 향상시키기 위해 전면의 경우 50nm이하, 후면의 경우 500nm 이하의 정밀한 평탄도가 요구된다. 이를 충족하기 위해 LTEM 기판 위에 반사체 역할을 하는 Multi-layer(ML)가 형성된다.

ML의 반사율이 낮으면 마스크의 광학 파워 손실율이 증가하는데, EUV블랭크 마스크의 가장 큰 리스크 요인은 긴 공정 시간 동안 ML의 성능을 유지할 수 있는지 여부에 달려있다


일본 업체들의 조사에 따르면, 2021년 EUV 블랭크 마스크 글로벌 시장 규모는 전년대비 약 13% 증가한 1억 6,005만달러로 추산되고 있다. EUV 블랭크 마스크는 제조공정상 난이도가 높으며, 특정 고객사향 제품을 일본 H사가 독점하다 보니 단가 협상도 쉽지 않다


일본 A사는 2022년 1월 EUV 블랭크 마스크 생산능력을 2배 확대하기 위해 신규 생산설비 증축을 하고 있으며, 시장점유율을 2025년 50%까지 높이겠다는 목표를 세우고 있다. 삼성전자를 필두로, SK하이닉스,마이크론이 10nm급 DRAM 5세대 또는 6세대 제품으로 넘어가면서 EUV 공정을 양산 도입하겠다고 밝히고 있기 때문에, 이와 관련되어 메모리 시장에서도 적용되는 EUV 레이어 수가 늘어나면서 EUV 블랭크 마스크 비용 지출도 기하급수적으로 늘어날 것으로 전망된다.



EUV PR, 반도체 EUV 소재 공급이 성장을 견인 


EUV PR 시장 규모는 반도체 Capex 투자에 비례하지만, 한편으로는 일본 업체의 트랙 장비 개발 속도에 반비례하기도 한다. 트랙 장비는 반도체 PR을 웨이퍼에 도포하고 현상하는 설비이다. 일본 T사가 글로벌 시장 점유율 90%로, 거의 독점적으로 공급하고 있다. T사의 신규 트랙 장비가 도입되면 PR 사용량을 절반 이하로 줄일 수 있게 되며, 코팅 신뢰성과 속도 확보만 가능하다면 충분히 도입될 가능성이 높다. TSMC, 삼성전자, 인텔, SK하이닉스가 EUV 라인 투자를 늘리고 있고, DRAM 역시 EUV 적용 레이어 수를 늘리고 있기 때문에, 포토레지스트 시장의 절대적인 성장세는 지속 증가할 가능성이 높다. 시장 조사업체인 Techcet에 따르면 EUV용 PR 시장은 2020년 이후 연평균 53%씩 성장해서 2025년 14만 5,000리터까지 늘어날 것으로 예상된다


반도체는 나노 단위의 미세 회로패턴으로 이루어져 있으며, 이러한 미세 패턴을 구현하려면 어느 부분을 깎아내고 어느 부분을 남길 지에 대해 명확하게 지정해주는 공정이 필요하다. 이공정이 포토(Photo)공정이며, 동일한 패턴을 정확한 위치에 정확한 모양의 크기로 형성해야 하고 이물질의 유입이 없어야 한다. 이러한 포토 공정은 EUV 도입으로 인해 더욱 중요해지고 있다.


EUV 노광공정 중 중요한 소재 중 하나가 포토레지스트(PR)인데, 2008년부터 꾸준히 포토레지스트에 대한 성능 개선이 이루어져왔지만 여전히 난이도가 높은 분야이다. 왜냐하면 1)해상도, 감도, 패턴 균일도(LWR)를 동시에 충족시키기 어려운 기술적인 문제와 2) 포토레지스트 반응 메커니즘상 EUV의 광에너지(92.5 eV)가 레지스트 소재의 광에너지(~10 eV)보다 더 높아 제어하기 어려운 문제가 있기 때문이다


반도체 포토레지스트는 반도체 공정에서 사용되는 핵심 재료 중 하나로, 마스크를 통해 선택적으로 빛을 받은 영역만 화학 반응을 일으켜 미리 그려진 미세패턴을 실리콘웨이퍼 기판 위에 형상화 할 수 있는 화학약품이다. 이것은 여러 가지 성분이 녹아있는 용액의 형태로 되어 있는데, 공정에 적용하기 위해서는 일정한 양의 용약을 웨이퍼 위에 회전 도포(spin-coating)하여 수백나노 ~ 수마이크론 단위의 얇은 필름을 형성시킨 후 노광기로 옮겨 사용한다.


포토레지스트의 주요 구성성분은 1) 포토레지스트의 접착력과 에칭 저항력을 향상시켜 패턴을 형성하는 고분자 수지(Resin)인 Novolac, 2) 빛을 쬐면 카르복실산(가장 강한 산성 화합물)으로 분해되어 산을 발생시켜 빛에 반응하는 감광제(PAG나 PAC), 3) 포토레지스트를 액화시키는 용매인 Solvent로 구성되어 있다.


고객사별로, 어떤 업체가 어떤 레이어용으로 공급하는지에 따라 PR 가격 차이가 큰데, 삼성전자는 대부분 일본 업체로부터 PR을 받고 있으나, 메모리용 EUV PR에서 국내 동진세미켐이 3순위 공급업체로 선정되어 일부 공급 중이다. SK하이닉스는 SK머티리얼즈퍼포먼스와 EUV 협업 중이나,가시적인 매출은 아직 나오고 있지 않다. 하지만 난이도가 높은 EUV PR에서도 국내 업체들의 양산 제품이 일부 라인을 중심으로 적용이 되고 있으며 기존 일본 업체들 중심에서 벗어나 고객사 다변화가 이루어지고 있다는 점은 고무적이라고 판단한다.




관련 목록
반도체 목록